Added a missing port argument.

This commit is contained in:
mainzelm 2004-02-02 10:22:21 +00:00
parent 2066f225bf
commit ff5bca073d
1 changed files with 1 additions and 1 deletions

View File

@ -89,7 +89,7 @@
((procedure? and-then)
(run (| (begin (man man-binary nroff-binary gzcat-binary
section key man-path))
(begin (and-then key section)))
(begin (and-then key section out)))
(= 1 ,out)
(= 2 ,out)))
(else